华信教育资源网
EDA技术及应用项目教程
丛   书   名: 高等职业教育精品工程系列教材
作   译   者:李福军 刘立军 出 版 日 期:2015-01-01
出   版   社:电子工业出版社 维   护   人:郭乃明 
书   代   号:G0248190 I S B N:9787121248191

图书简介:

本书根据理实一体化教学的需要,以项目导向、任务驱动为主线,采取项目式的教学方法来编写,将EDA技术分为五个训练项目,内容包括:数字系统设计与开发环境、VHDL语言设计基础、组合逻辑电路设计、时序逻辑电路设计、EDA技术综合实践。本书实用性强,可作为高职高专电子信息类、电气类、自动化类等电类专业的教材,对从事相应工作的工程技术人员也具有参考价值。
定价 33.0
您的专属联系人更多
关注 评论(0) 分享
配套资源 图书内容 样章/电子教材 图书评价
  • 配 套 资 源
  • 图 书 内 容

    内容简介

    本书根据理实一体化教学的需要,以项目导向、任务驱动为主线,采取项目式的教学方法来编写,将EDA技术分为五个训练项目,内容包括:数字系统设计与开发环境、VHDL语言设计基础、组合逻辑电路设计、时序逻辑电路设计、EDA技术综合实践。本书实用性强,可作为高职高专电子信息类、电气类、自动化类等电类专业的教材,对从事相应工作的工程技术人员也具有参考价值。

    图书详情

    ISBN:9787121248191
    开 本:16开
    页 数:236
    字 数:377

    本书目录

    目   录
    项目1 数字系统设计与开发环境
    项目剖析
    技能目标
    任务1.1 EDA技术综述
    1.1.1  认识EDA技术
    1.1.2  MAX+plusⅡ软件的功能及支持的器件
    1.1.3  MAX+plusⅡ软件的安装与注册
    任务1.2 EDA设计指南
    1.2.1  MAX+plusⅡ的设计流程
    1.2.2  Quartus II的设计流程
    任务1.3 可编程逻辑器件综述
    1.3.1  可编程逻辑器件的发展
    1.3.2  可编程逻辑器件基础
    1.3.3  可编程逻辑器件的分类
    任务1.4 CPLD/FPGA器件知识
    1.4.1  CPLD的基本结构
    1.4.2  FPGA的基本结构
    1.4.3  CPLD/FPGA产品概述
    1.4.4  FPGA和CPLD的比较
    项目小结
    项目练习
    项目2  VHDL语言设计基础
    项目剖析
    技能目标
    任务2.1 认识VHDL语言
    2.1.1  VHDL简介
    2.1.2  VHDL的定义及构成
    任务2.2 VHDL的描述结构
    2.2.1  实体(Entity)
    2.2.2  结构体(Architecture)
    2.2.3  程序包(Package)与USE语句
    2.2.4  库(Library)
    2.2.5  配置(Configuration)
    2.2.6  标识符
    2.2.7  保留字
    任务2.3 VHDL的数据对象
    2.3.1  信号
    2.3.2  变量
    2.3.3  常量
    任务2.4 VHDL的数据类型
    任务2.5 VHDL的运算符
    2.5.1  逻辑运算符
    2.5.2  算术运算符
    2.5.3  关系运算符
    2.5.4  符号运算符
    2.5.5  移位运算符
    2.5.6  操作符的运算优先级
    任务2.6 顺序描述语句
    任务2.7 变量赋值语句和信号赋值语句
    2.7.1  IF语句
    2.7.2  CASE语句
    2.7.3  LOOP语句
    2.7.4  NEXT和EXIT跳出循环语句
    2.7.5  NULL语句
    2.7.6  WAIT语句
    2.7.7  ASSERT语句
    2.7.8  子程序调用语句
    2.7.9  RETURN语句
    任务2.8并行描述语句
    2.8.1  并行信号赋值语句
    2.8.2  进程语句
    2.8.3  元件例化语句
    2.8.4  生成语句
    2.8.5  块语句
    任务2.9 子程序
    2.9.1  过程
    2.9.2  函数
    项目小结
    项目练习
    项目3 组合逻辑电路设计
    项目剖析
    技能目标
    任务3.1 逻辑门电路的VHDL设计
    3.1.1  二输入与非门电路
    3.1.2  二输入或非门电路
    3.1.3  反相器电路
    3.1.4  二输入异或门电路
    3.1.5  二输入同或门电路
    任务3.2 运算电路设计
    3.2.1  半加器的设计
    3.2.2  全加器的设计
    3.2.3  乘法器的设计
    任务3.3 编码器的设计
    3.3.1  编码器工作原理分析
    3.3.2  8线-3线编码器的VHDL描述
    3.3.3  8线-3线优先编码器的设计
    任务3.4 译码器的设计
    3.4.1  译码器工作原理分析
    3.4.2  3线-8线译码器的VHDL设计
    任务3.5 数据选择器的设计
    3.5.1  数据选择器工作原理
    3.5.2  数据选择器的VHDL设计
    任务3.6 数值比较器的设计
    3.6.1  数值比较器工作原理
    3.6.2  数值比较器的VHDL设计
    任务3.7 三态门与双向缓冲电路设计
    3.7.1  三态门的设计
    3.7.2  双向缓冲器电路设计
    任务3.8 七段LED数码管扫描显示电路设计
    3.8.1  LED数码管及其显示电路
    3.3.2  静态LED数码管显示电路设计
    3.3.3  动态LED数码管显示电路设计
    项目小结
    实训项目
    【实训1】4位BCD译码器的设计
    【实训2】4位加减法器的设计
    项目练习
    项目4 时序逻辑电路设计
    项目剖析
    技能目标
    任务4.1 D触发器的设计
    4.1.1  时钟信号的描述
    4.1.2  复位信号的描述
    4.1.3  简单D触发器设计
    4.1.4  异步复位/同步复位 D触发器的设计
    任务4.2 寄存器和移位寄存器的设计
    4.2.1  寄存器的设计
    4.2.2  串入/串出移位寄存器的设计
    4.2.3  串入/并出移位寄存器的设计
    任务4.3计数器及其设计方法
    4.3.1  计数器基本概念
    4.3.2  同步计数器的设计
    4.3.3  异步计数器的设计
    4.3.4  可逆计数器的设计
    任务4.4 分频器的设计
    4.4.1  分频器及其设计方法
    4.4.2  偶数分频电路设计
    4.4.3  奇数分频电路设计
    任务4.5 有限状态机的设计
    4.5.1  状态机的基本结构和功能
    4.5.2  一般有限状态机的设计
    4.5.3  Moore型状态机的设计
    4.5.4  Mealy型状态机的设计
    任务4.6 存储器设计
    4.6.1  只读存储器ROM的设计
    4.6.2  读写存储器SRAM的设计
    项目小结
    实训项目
    【实训1】JK触发器的设计
    【实训2】同步清零的可逆计数器
    项目练习
    项目5 EDA技术综合实践
    项目剖析
    技能目标
    任务5.1 数字频率计的设计
    5.1.1  设计要求与方案
    5.1.2  模块设计及仿真
    5.1.3  VHDL一体化程序设计
    任务5.2 数字钟电路设计
    5.2.1  设计要求与方案
    5.2.2  模块设计及仿真
    任务5.3 抢答器的设计
    5.3.1  设计要求与方案
    5.3.2  模块设计及仿真
    任务5.4 交通灯控制器的设计
    5.4.1  设计要求与方案
    5.4.2  模块设计及仿真
    任务5.5 多功能信号发生器的设计
    5.5.1  设计要求与方案
    5.5.2  模块设计及仿真
    附录
    附录A MAX+plusII在WIN2000上的安装设置
    附录B 常用FPGA/CPLD引脚图
    参考答案
    参考文献
    展开

    前     言

    前   言
    EDA(Electronic Design Automation,即电子设计自动化)技术是20世纪末期迅速发展起来的现代电子工程领域的一门新技术,其应用水平和广度已成为一个国家电子信息工业现代化的重要标志之一。EDA技术以可编程逻辑器件(PLD)为载体,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL)为手段,使电子电路硬件系统的设计如同软件设计一样方便快捷。
    随着现代电子产品性能的进一步提高,要求系统功能越来越复杂、集成化和智能化程度也越来越高,如何实现电子产品的功能多样化、体积小型化、功耗最低化,提高电路设计的效率和可靠性,是电子工程师必须要面对和解决的问题。目前EDA技术已成为许多高职高专院校电子信息类专业学生必须掌握的一门重要技术,它能克服小规模数字集成电路功能固化、电路板形式单调的缺点,对培养学生的综合分析与设计能力、实践创新能力和提高综合素质都具有重要的意义。
    本书根据高等职业院校人才培养目标和职业技能需要,以适用、够用为度,采取“项目载体、任务驱动”的编写原则,精选教学内容,合理设置了5个项目。其中项目1对EDA技术的发展应用、设计流程及常用EDA工具进行了概述,同时介绍了PLD的结构特点、FPGA/CPLD器件及其配置与编程;项目2介绍了硬件描述语言VHDL的语法特点,同时结合语言的应用给出了丰富的设计实例;项目3、项目4用VHDL给出了常用数字单元电路的设计,使学生可以快速掌握用VHDL设计基本组合逻辑和时序逻辑电路;项目5精选了5个典型综合应用实例,主要训练由模块构建数字系统,培养学生实际应用的开发能力。建议教学学时数在60学时左右。
    需要指出的是,EDA技术的核心是VHDL语言的设计,主要描述数字电路及系统的逻辑功能,因此要求必须掌握数字电路的基本知识。同时要多上机练习、勤于思考,充分理解EDA技术的实质,掌握VDHL编程技巧。
    本书由辽宁机电职业技术学院李福军、刘立军、王振家共同编写,其中李福军编写了项目1、项目5,刘立军编写了项目2、项目3,王振家编写了项目4、参考答案及附录,全书由李福军统稿。广东松山职业技术学院XXX 、XXX和连云港职业技术学院山磊对本书的编写做了很多工作,对部分VHDL程序进行了验证,提出了许多宝贵意见和建议,在此表示衷心的感谢!
    由于编者水平所限,加之时间仓促,书中难免存在差错和疏漏,恳请使用本书广大读者批评指正(编者信箱:lifujun0415@163.com)。
                                                    
                                                                   
     编 者
                                                                   2014年8月
    展开

    作者简介

    本书暂无作者简介
  • 样 章 试 读
  • 图 书 评 价
华信教育资源网